Welcome![Sign In][Sign Up]
Location:
Search - verilog sdram

Search list

[Other resourceverilog SDRAM core

Description: 我用过的verilog hdl写的SDRAM core源程序,经过测试应用-I used to write Verilog HDL source of SDRAM core, the test application
Platform: | Size: 28424 | Author: 于飞 | Hits:

[VHDL-FPGA-VerilogDDR(双速率)SDRAM控制器参考设计verilog代码

Description: DDR SDRAM reference design documentation
Platform: | Size: 895281 | Author: tony_gx@hotmail.com | Hits:

[VHDL-FPGA-VerilogSDRAM verilog

Description: 基本包涵主流SDRAM控制器 verilog语言
Platform: | Size: 554847 | Author: stephenmoon@126.com | Hits:

[Embeded-SCM Developref-ddr-sdram-verilog

Description: sdram的verilog的源码实现-sdram verilog source code realizes
Platform: | Size: 904192 | Author: zfhustb | Hits:

[VHDL-FPGA-VerilogVerilog&Vhdl混语言对SDRAM的控制源代码

Description: Verilog&Vhdl混语言对SDRAM的控制源代码,提供了很好的例子,顶层文件为sdrm.v!-VerilogVhdl mixed language SDRAM control of the source code, provided a good example of top-level documents sdrm.v!
Platform: | Size: 249856 | Author: 飞扬 | Hits:

[VHDL-FPGA-Verilogverilog SDRAM core

Description: 我用过的verilog hdl写的SDRAM core源程序,经过测试应用-I used to write Verilog HDL source of SDRAM core, the test application
Platform: | Size: 27648 | Author: 于飞 | Hits:

[VHDL-FPGA-Verilog标准SDR SDRAM控制器参考设计_verilog_lattice

Description: 标准SDR SDRAM控制器参考设计,Lattice提供的verilog源代码-standard SDR SDRAM controller reference design, the Lattice Verilog source code
Platform: | Size: 203776 | Author: 陈旭 | Hits:

[VHDL-FPGA-Verilogref-sdr-sdram-verilog

Description: 本代码是用VRILOG语言写的SDRAM的控制器的标准代码,供开发SARM参考.-this code is used to write the language VRILOG SDRAM controller standard code for the development of SARM reference.
Platform: | Size: 776192 | Author: 汪旭 | Hits:

[VHDL-FPGA-Verilogvery-good-ok-ref-ddr-sdram-verilog

Description: Sdr SDRAM控制器参考设计,很好的-Sdr SDRAM controller reference design, very good
Platform: | Size: 894976 | Author: 姚明 | Hits:

[VHDL-FPGA-Verilogref-sdr-sdram-verilog

Description: sdram的控制器 verilog源码-SDRAM controller Verilog source code
Platform: | Size: 718848 | Author: 唐业衡 | Hits:

[Otherref-sdr-sdram-verilog

Description: sdram控制器的开发程序,还有文档,可以参考以下-SDRAM controller development process, there is a document, you can refer to the following
Platform: | Size: 776192 | Author: 王鹏 | Hits:

[VHDL-FPGA-Verilogref-sdr-sdram-verilog

Description: SDRAM的vegilog代码,做一个SDRAM的封装成为SRAM一样进行操作。一个顶层文件下由三个模块-SDRAM
Platform: | Size: 717824 | Author: 吴厚航 | Hits:

[VHDL-FPGA-Verilog(fpga)sdram

Description: verilog 代码,读写SDRAM 不带仿真,需要自己编写测试文件-Verilog code, read and write SDRAM simulation without the need to prepare their own test documentation
Platform: | Size: 19935232 | Author: ch | Hits:

[ARM-PowerPC-ColdFire-MIPSsdram

Description: artera 的一个SDRAM 模型(verilog)-artera an SDRAM model [verilog]
Platform: | Size: 4096 | Author: xiaoheng | Hits:

[VHDL-FPGA-VerilogAlteraSDR-SDRAM

Description: Altera 官方提供的SDRAM控制器,verilog的-SDRAM controller provided by Altera in Verilog HDL
Platform: | Size: 811008 | Author: machenghai | Hits:

[VHDL-FPGA-VerilogSDRAM

Description: verilog 128位 突发4. sdr fpga控制器-verilog 128 bit unexpected 4. sdr fpga controller
Platform: | Size: 119808 | Author: pudnrtest | Hits:

[VHDL-FPGA-Verilogsdram-control-verilog

Description: SDRAM控制器源码,内含完整的控制器verilog源代码和测试代码,超值哈。-This readme file for the SDR SDRAM Controller includes information that was not incorporated into the SDR SDRAM Controller White Paper v1.1.
Platform: | Size: 991232 | Author: runxin | Hits:

[VHDL-FPGA-Verilogsdram

Description: 通过 UART 读写 SDRAM verilog 源代码 通过 UART 的接口发送命令来读写 SDRAM 命令格式如下: 00 02 0011 1111 2222 00: 写数据 02: 写个数 0011: 写地址 1111 2222: 写数据, 是 16 bit, 每写完一个数据,向串口发送 FF 回应; 输出: FF FF 01 03 0044 01: 读sdram 03: 读的个数 0044: 读的地址 输出: xxxx xxxx xxxx sdram 在 0044 0045 0046 处的数据; sdram 使用的是 K4S161622D.pdf 系统时钟 25m, 通过 PLL 得到 SDRAM clk 100m sdram controller clk 100m, 前者相对后者2ns 相移 -Read and write through the UART SDRAM verilog source code through the UART interface to send commands to SDRAM read and write command format is as follows: 0,002,001,111,112,222 00: Write Data 02: Write the number of 0011: write address 11112222: write data, is 16 bit, each completed a data, respond to the serial port FF output: FF FF 01 03 0044 01: Reading sdram 03: 0044 the number of read: Read the address output: xxxx xxxx xxxx sdram at 004,400,450,046 at the data sdram use system clock is K4S161622D.pdf 25m, obtained by PLL SDRAM clk 100m sdram controller clk 100m, the former phase shift relative to the latter 2ns
Platform: | Size: 14336 | Author: 周西东 | Hits:

[VHDL-FPGA-VerilogSDRAM-verilog

Description: SDRAM读写控制的实现与Modelsim仿真-verilog-SDRAM read and write control to achieve with the Modelsim simulation-verilog
Platform: | Size: 2196480 | Author: sjdbjs | Hits:

[VHDL-FPGA-VerilogSDRAM

Description: 基于fpga与verilog语言的的sdram读写(SDRAM reading and writing based on FPGA and Verilog language)
Platform: | Size: 6144 | Author: 司王星 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 16 »

CodeBus www.codebus.net